FPGA – Poradnik #4|Komparator

Cześć!

Dziś zajmiemy się układem cyfrowym zwanym komparatorem.
Komparator jest to układ, który służy do porównywania dwóch lub więcej liczb dwójkowych. Najważniejsze kryteria porównawcze to:
A=B
A<B 
– A>B

Układ, który spełnia wszystkie trzy kryteria nazywamy komparatorem uniwersalnym.

Wyniki porównania wynoszą

Fw = 1, gdy A > B
Fr = 1, gdy A = B
Fm = 1, gdy A < B

Schemat komparatora jedno bitowego wygląda tak:
imageg5n

A tabela prawdy według porównań wygląda tak.

 

[su_table]

A B Fm Fr Fw
0 0 0 1 0
0 1 1 0 0
1 0 0 0 1
1 1 0 1 0

[/su_table]

Tyle teorii.

A do czego służy komparator?
Najprostszym przykładem użycia komparatora może być potrzeba zasygnalizowania przejścia badanego napięcia przez z góry ustalony próg. Co daje możliwość wykorzystania ich układach formujących, dyskryminatorach amplitudy, generatorach, wzmacniaczach odczytu itd.

Przejdźmy teraz do praktycznej części naszego poradnika.

Komparator możemy stworzyć za pomocy opisu w języku VHDL lub stworzyć go za pomocą edytora schematów blokowych.
My posłużymy się dziś edytorem schematów blokowych a potem automatycznie wygenerujemy kod w języku VHDL.

Tworzymy nowy projekt

1 (1)1 (2)

Ja nazwałem go „Komparator”1 (3)1 (4)1 (5)

Wybieramy nasz układ czyli 10M08DAF256C8GES1 (6)1 (8)

Wybieramy język symulacji „VHDL”1 (7)1 (9)

Tworzymy nowy plik Schematu1 (10)1 (11)

W tym momencie pojawia się nam okno, w którym możemy „zbudować” nasz komparator z kilku bramek.1 (12)1 (13)

A dokładniej z Bramek….1 (14)Teraz przechodzimy do stworzenia z naszego schematu pliku w języku VHDL1 (15)Wybieramy język VHDL1 (16)Jeżeli otrzymasz taki komunikat jak na dole, oznacza to, że wszystko przebiegło pomyślnie.1 (17)Aby pracować z plikiem w języku VHDL musimy najpierw go otworzyć. Najprawdopodobniej będzie on w tej lokalizacji co cały projekt1 (18)1 (19)

Tak wygląda nasz plik, w którym zawarte są instrukcje komparatora.1 (20)1 (21)1 (22)

Teraz spróbujmy przeprowadzić analizę czy nie popełniliśmy żadnych błędów1 (23)Wszystko działa jak należy. Właśnie stworzyliśmy projekt komparatora. BRAWO!1 (24)

 

Jako swoiste „zadanie domowe” postaraj się przeprowadzić symulację tego projektu tak jak robiliśmy to w poprzedniej części kursu KLIK

 

Jeżeli chcecie być na bieżąco z artykułami z tej serii zachęcam do zapisania się do newslettera

Zapisz się do Newslettera


 

Oraz polubienia naszego fanpage’a na Facebook’u KLIK 

[su_button url=”https://roboblog.eu/2016/06/07/fpga-poradnik-3-pin-planner/” size=”7″]Poprzednia część kursu[/su_button]